[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено zlyh 23 мая 2006 г. 19:56
В ответ на: Вопрос VHDL (+) отправлено <font color=gray>Lelik</font> 23 мая 2006 г. 17:56

outbufu and outbuf не связаны с std_logic_vector.

I_DATA тоже std_logic_vector?

trram <= trram(12 downto 4) & B"0000";

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru