[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
Отправлено
SAZH 27 июня 2006 г. 17:07
В ответ на: Ответ: (+) отправлено
SM 27 июня 2006 г. 16:49
Я глубоко не влезал. Но в примере что !clock, clock все едино для Квартуса. Он предлагает в рекомендациях использовать case. module latch ( input clock, input [7:0] dbus_internal, input [4:0] abus_internal, input wren_internal, output reg [7:0] periph_reg );
// ýòî â ÿäðå ïðîöåññîðà always @(posedge clock) begin data_wr_pipe <= dbus_internal; addr_wr_pipe <= abus_internal; wren_pipe <= wren_internal; end // à ýòî â ïåðèôåðèéíûõ óñòðîéñòâàõ: always @* begin if (!clock & (addr_wr_pipe == 9) & wren_pipe) periph_reg <= data_wr_pipe; end endmodule По поводу вставляет буфера до тех пор. пока не станет норма. Ведь для квартуса это наверно аналог LCELL.