[an error occurred while processing this directive]
Quartus-II v6.0 sp1 долбанное глюкалово (+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Shtirlits 01 августа 2006 г. 15:47

Какая версия quartus-а пригодна для работы со stratix?

Смысл текста внизу такой - есть 8 светодиодов, 4 средние выключены, 2 слева и 2 справа включены.

Синтезатор честно предупреждает, все как и положено:

Warning: Output pins are stuck at VCC or GND
Warning: Pin "USER_LED[0]" stuck at VCC
Warning: Pin "USER_LED[1]" stuck at VCC
Warning: Pin "USER_LED[2]" stuck at GND
Warning: Pin "USER_LED[3]" stuck at GND
Warning: Pin "USER_LED[4]" stuck at GND
Warning: Pin "USER_LED[5]" stuck at GND
Warning: Pin "USER_LED[6]" stuck at VCC
Warning: Pin "USER_LED[7]" stuck at VCC

А фитер, молодец, все на GND подключил и еще ехидничает:

Warning: Following 8 pins have nothing, GND, or VCC driving datain port -- changes to this connectivity may change fitting results
Info: Pin USER_LED[0] has GND driving its datain port
Info: Pin USER_LED[1] has GND driving its datain port
Info: Pin USER_LED[2] has GND driving its datain port
Info: Pin USER_LED[3] has GND driving its datain port
Info: Pin USER_LED[4] has GND driving its datain port
Info: Pin USER_LED[5] has GND driving its datain port
Info: Pin USER_LED[6] has GND driving its datain port
Info: Pin USER_LED[7] has GND driving its datain port


Табуляции заменены на подчерк.

library IEEE;
use IEEE_std_logic_1164_all;

entity leds is
____port (
________USER_LED________: out std_logic_vector(7 downto 0)
____);
end leds;

architecture syn of leds is

begin
____USER_LED <= "11000011";
end syn;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание