[an error occurred while processing this directive]
Как вариант(+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено DPAVLOV 16 августа 2006 г. 23:39
В ответ на: Подскажите плиз как в vhdl кошерно реализовать такую функцию: отправлено <font color=gray>astro</font> 16 августа 2006 г. 19:17

process(rstn,clk)
variable var : std_logic
begin
if (rstn = '0') then
str_out0 <= '0';
str_out1 <= '0';
elsif (clk'event and clk = '1') then
if (var = '0' and str_in = '1') then -- detect rising front
str_out0 <= '1';
else
str_out0 <= '0';
end if;
if (var = '1' and str_in = '0') then -- detect falling front
str_out1 <= '1';
else
str_out1 <= '0';
end if;
var:= str_in;
end if;
end process;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа
Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 
URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание