[an error occurred while processing this directive]
Libero. Как для симуляции (ModelSim) сделать нач.установку триггеров в 0 разом для всех?
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Nex 26 августа 2006 г. 10:12

Схему ввожу графически. Симулирую - получаю неопределённое состояние триггеров (счетчики и пр. регисры с обратными связями).
Можно конечно в процессе симуляции индивидуально изменять состояние триггера, но слишком их много, да и при рестарте процесса всё заново.
Или самое плохое - можно подрисовать сброс в схеме, а в конечном проекте удалить его.
Есть ли какая опция в либеро, или атрибуты элемента схемы, или в ModelSim это определяется? Как в симуляции при t=0 - все триггера в 0 разом для всей схемы проекта?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа
Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 
URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание