[an error occurred while processing this directive] [an error occurred while processing this directive]
А у меня ...
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Сидоргек 25 июня 2002 г. 14:49
В ответ на: Уменьшение времени компиляции проекта для EP1K100 отправлено pldfaq 20 июня 2002 г. 14:28

А мне (после того, как пересел с Celeron-800 на P4-1600A, RDRAM512) показалось, что не так уж и медленно :->.

А если серъезно, 1K50 примерно на 50-60%, раньше 17 минут, сейчас - около 5ти. ОС в обеих случаях - Win98 SE, компилятор - Max+Plus v10.

Кстати, время компиляции может зависеть и от того, что компилируешь.
Был один чужой код на VHDL, который FPGA Express v3.5 компилировал около 20 минут.

P.S. А на Атлонах лучше кофе кипятить, или самогон гнать (если уж дела совсем плохи).

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru