Разработка, производство и продажа радиоэлектронной аппаратуры
|
Карта сайта
|
Пишите нам
|
В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:
jobsmp@pochta.ru
о фирме
продукция
электроника
обратная связь
Программируемые логические схемы и их применение
Страницы:
Текущая
326
325
324
323
322
321
320
319
318
317
316
315
314
313
312
311
310
309
308
307
306
305
304
303
302
301
300
299
298
297
296
295
294
293
292
291
290
289
288
287
286
Архивы 1...285
Новое сообщение
Регистрация
——> Выберите конференцию
Микроконтроллеры <03.01.2024 22:59>
Программируемые логические схемы <03.01.2017 20:54>
Языки описания аппаратуры (VHDL и др.) <23.12.2018 20:16>
Цифровые сигнальные процессоры (DSP) <31.08.2023 09:00>
Аналоговая схемотехника <16.06.2022 18:58>
Голосования <08.12.2022 22:35>
Телесистемы
осваиваю верилог, требуется помощь
—
skn
(15.11.2006 15:45
195.131.107.101
, 641 байт)
Ответ: и правильно делает.
—
sasha_kosak
(25.11.2006 12:57
81.25.224.45
, 160 байт)
А в каком текстовом редакторе можно сделать, чтобы "сложить" process в одну строку... типа MY_PROCESS[+]
—
Victor®
(13.11.2006 18:05
195.46.37.133
,
пустое
)
Ответ: такая фишка была в протеле наскока помню
—
vitus_strom
(14.11.2006 10:42
80.95.102.226
,
пустое
)
Ответ: (+)
—
ux
(13.11.2006 18:22
213.177.117.107
, 59 байт,
ссылка
)
Спасибо!
—
Victor®
(14.11.2006 15:05
195.46.37.133
,
пустое
)
Подскажите какой софтиной просмотреть *.dll файл в 16-ричном коде
—
БАМБУК
(13.11.2006 16:48
194.44.34.140
, 78 байт)
hiew32 самый правильный тул для этого.
—
SM
(13.11.2006 20:49
213.141.159.26
,
пустое
)
==>
—
SM
(13.11.2006 20:49
213.141.159.26
,
пустое
,
ссылка
)
Ответ: редактор WinHEX
—
VG
(13.11.2006 17:22
213.148.165.94
,
пустое
)
что-то маленькое, с нэта скачать есть?. Спасиба.
—
БАМБУК
(13.11.2006 17:49
194.44.34.140
,
пустое
)
Через поисковик или по ссылке
—
VG
(14.11.2006 10:18
213.148.165.94
,
пустое
,
ссылка
)
Altera SDRAM и Квартус
—
fikus
(13.11.2006 15:29
217.21.54.193
, 301 байт)
Ответ: Смотрите в симуляторе ноги: [имя выхода]~result (+)
—
IL-76
(13.11.2006 15:58
82.135.195.129
, 236 байт)
то есть доверять с очень большой опаской результатам симуляции?
—
fikus
(13.11.2006 16:02
217.21.54.193
, 75 байт)
Ответ: нет, что покажет симулятор, то и будет в плис (+)
—
IL-76
(13.11.2006 16:18
82.135.195.129
, 518 байт)
производительность
—
fikus
(13.11.2006 16:31
217.21.54.193
, 334 байт)
Отсимулируйте нужные режимы - в симуляторе все сразу видно будет :)
—
IL-76
(13.11.2006 16:19
82.135.195.129
,
пустое
)
Рид-Соломон
—
Rand
(12.11.2006 22:32
89.169.74.179
, 157 байт)
Кодирование еще можно найти или написать, а вот декодирование...
—
dmv
(13.11.2006 14:11
217.23.67.58
,
пустое
)
Есть там и декодирование =>
—
SM
(13.11.2006 14:20
213.141.159.26
,
пустое
,
ссылка
)
На опенкорес видел.
—
SM
(13.11.2006 11:11
213.141.159.26
,
пустое
)
Помогите сделать программу на VHDL
—
kybikrybik
(12.11.2006 17:13
83.167.112.13
, 887 байт,
ссылка
)
Всем большое спасибо за помощь
—
kybikrybik
(14.11.2006 23:25
83.167.112.13
,
пустое
)
:) Схема описана не в синтезируемом стиле. Чтобы легло в железо должно быть:
—
cms
(12.11.2006 19:28
213.148.18.245
, 2409 байт)
rstn идет с внешнего вывода(или с AVR)?
—
kybikrybik
(13.11.2006 15:42
83.167.112.13
,
пустое
)
Ответ:
—
SAZH
(13.11.2006 16:32
212.113.112.201
, 255 байт)
Ужос :)
—
Shtirlits
(12.11.2006 19:20
83.149.205.223
, 1915 байт)
Помогите разобраться с VHDL
—
novic
(10.11.2006 23:23
195.39.210.225
, 1099 байт)
Да не должен
—
V61
(11.11.2006 13:17
195.245.194.142
, 181 байт)
А что ж делать?Вот его сообщение:
—
novic
(11.11.2006 13:36
217.199.225.30
, 171 байт)
Так объявить нужно внутренние сигналы
—
V61
(11.11.2006 14:08
195.245.194.142
, 243 байт)
Спасибо,заработало!Так,глядишь,и освоим языки...
—
novic
(11.11.2006 14:40
217.199.225.30
,
пустое
)
Кстати, не рекомендую в синтезабельном VHDL пользоваться переменными
—
cms
(11.11.2006 15:47
213.148.18.245
, 133 байт)
Банальное соединение в виде компонента - как-то не серьезно.
—
V61
(11.11.2006 16:11
195.245.194.142
,
пустое
)
Писать для этого процедуру - ИМХО что-то неправильно в самой архитектуре
—
cms
(12.11.2006 19:33
213.148.18.245
,
пустое
)
В данном случае, конечно.
—
V61
(13.11.2006 11:48
195.245.194.142
, 150 байт)
И это главное.А на текст не обращайте внимания...
—
novic
(13.11.2006 13:27
217.199.225.30
,
пустое
)
У вас 32 нужно заменить на 8,но всё равно ругается,правда по другому:
—
novic
(11.11.2006 14:00
217.199.225.30
, 161 байт)
Нужен ли внешний Reset для Cyclone после Power-Up ? (+)
—
Vasilij_
(10.11.2006 21:55
213.148.165.94
, 196 байт)
Автомат и так состоит из регистров - на него power up level тоже действует.
—
SM
(11.11.2006 11:51
213.141.159.26
,
пустое
)
Ответ: Есть опасения, что схема будет работать некорректно, пока PLL внешние такты не захватит ...
—
Vasilij_
(12.11.2006 01:58
213.148.178.178
, 106 байт)
сделайте сброс от LOCK
—
_net
(12.11.2006 11:58
83.237.5.107
,
пустое
)
угу, так и надо
—
SM
(12.11.2006 13:27
213.141.159.26
,
пустое
)
Ответ: Да, Вы правы, я сразу как-то не сообразил. Выходит внешний Reset и не нужен вовсе.
—
Vasilij_
(12.11.2006 14:24
213.148.178.178
,
пустое
)
Ответ: (+)
—
ux
(13.11.2006 18:14
213.177.117.107
, 208 байт,
ссылка
)
однократный сброс от LOCK. а далее как повезет - о чем товарищ и написал
—
_net
(13.11.2006 20:06
83.237.5.175
,
пустое
)
Ответ: В таком случае думаю имеет смысл сделать простой счетчик, который сразу после включения начнет считать в течении 1 мс или около того - как закончит, сброс снимается
—
Vasilij_
(14.11.2006 12:41
213.148.165.94
,
пустое
)
Переход от ECP2 к AT17LV002A
—
star
(10.11.2006 18:27
82.137.166.97
, 105 байт)
Pof2jed для CPLD - если, к примеру, переходить от EPM7064S к ATF1504AS
—
Stewart Little
(13.11.2006 12:51
82.140.81.2
, 36 байт)
А зачем Вам этот "pof2jed"? Что квартус, что максплюс, умели создавать .rbf, которые шьются в любую из указанных ПЗУ.
—
SM
(10.11.2006 20:29
85.21.237.237
,
пустое
)
А зачем Вам этот "pof2jed"? Что квартус, что максплюс, умели создавать .rbf, которые шьются в любую из указанных ПЗУ.
—
SM
(10.11.2006 20:29
85.21.237.237
,
пустое
)
Пришлось перейти на линукс. Есть ли под ним замена Актив HDL? Что еще есть для написания-симуляции?
—
dmv
(10.11.2006 13:33
217.23.67.58
,
пустое
)
HDL Designer и ModelSim, естественно
—
IgorK
(10.11.2006 22:17
83.149.3.133
, 57 байт)
для ввода очень рекомендую xemacs с verilog модой - сильная штука (там надо в менюшке Verilog почитать AUTO хелп)
—
yes
(10.11.2006 14:40
87.236.81.130
, 207 байт,
ссылка
)
такого модулечка моему xemacs и не хватает
—
dmv
(10.11.2006 16:42
217.23.67.58
,
пустое
)
может автолоад кривой? там еще есть и VHDL мода - имхо, маразменная как и многое другое в VHDL :), сильно похоже на ISE или Turbowriter
—
yes
(10.11.2006 19:05
87.236.81.130
,
пустое
)
VHDL -мода в емаксе - лучшая :)
—
id_gene
(11.11.2006 14:57
86.62.77.74
, 106 байт)
Симуляция - есть замечательный продукт Cadence IUS. А вот про написание - я например в квартусе пишу...
—
SM
(10.11.2006 13:54
85.21.237.237
,
пустое
)
а вот опрос - будет (должна ли) по Вашему мнению работать такая верилог конструкция???
—
yes
(10.11.2006 13:33
87.236.81.130
, 716 байт)
Должно, если V-2001 поддерживается.
—
SM
(10.11.2006 14:01
85.21.237.237
,
пустое
)
Либо список чувствительности ненравится, либо - for. А for generate - есть оператор?
—
dmv
(10.11.2006 13:40
217.23.67.58
,
пустое
)
вопроса, как исправить, чтобы не глючило в ncsim-e - нет (кстати, если есть ncsim - весьма забавно подебажить)
—
yes
(10.11.2006 14:00
87.236.81.130
, 401 байт)
ncsimA нет. Это не нц-верилог?
—
dmv
(10.11.2006 14:32
217.23.67.58
,
пустое
)
да, ncverilog это оболочка, которая вызывает ncvlog/ncelab/ncsim. пакет IUS5.6
—
yes
(10.11.2006 14:35
87.236.81.130
,
пустое
)
А иуса поновее у Вас случаем не пробегало?
—
SM
(10.11.2006 14:38
85.21.237.237
,
пустое
)
нету, не сложилось пока
—
yes
(10.11.2006 14:54
87.236.81.130
,
пустое
)
Мужуки, подсобите по Верилогу...
—
-mse-
(10.11.2006 13:31
62.183.50.164
, 1141 байт)
Ответ:
—
SAZH
(10.11.2006 14:23
212.113.112.201
, 891 байт)
Не, у меня непонимание было чисто в утилитарных вопросах. Лёгонький пинок взад решил проблемы текущего уровня ;О).
—
-mse-
(10.11.2006 14:54
62.183.50.164
,
пустое
)
Ответ:
—
SAZH
(10.11.2006 15:00
212.113.112.201
, 130 байт)
Дык, понятно, что в схематике пару триггерков да ЛАшечку...А потрахацца? ;О)
—
-mse-
(10.11.2006 15:04
62.183.50.164
,
пустое
,
ссылка
)
тест
—
Elektronik
(17.11.2006 13:04
194.85.99.225
,
пустое
)
с фор-вайл у вас всё прально, так оно и работает.. (+)
—
Dr_Alex
(10.11.2006 13:49
213.33.211.66
, 114 байт)
Дык, этта...а как-же из модуля верхнего уровня работать с модулями нижнего?
—
-mse-
(10.11.2006 14:20
62.183.50.164
, 1010 байт)
А, кажется понял что вы хотите (+)
—
Dr_Alex
(10.11.2006 14:40
213.33.211.66
, 171 байт)
Примерно так. ;О) Дык, я сам теперь понимаю, что просто. На имеющиеся примеры в букварях смотрел и не понимал. Думал, чего-то не дописано. Самого сокровенного. ;О) Спасибо за дискуссию. Просветления достиг. На данном этапе.
—
-mse-
(10.11.2006 14:51
62.183.50.164
,
пустое
)
(+)
—
Dr_Alex
(10.11.2006 14:31
213.33.211.66
, 369 байт)
Да уже помаленьку дошло...
—
-mse-
(10.11.2006 14:48
62.183.50.164
, 433 байт)
Ну можно и так, только надо немного модифицировать.. (+)
—
Dr_Alex
(10.11.2006 14:56
213.33.211.66
, 330 байт)
Не, имелось ввиду, что пользоваться М1 как некоей процедурой. Исполнилось условие, мы М1 хрясь, и таво...;О) Питание подали. ;О) Во как!
—
-mse-
(10.11.2006 15:01
62.183.50.164
,
пустое
)
Не, с модулЯми так нельзя.. Но процедуры и нужны бывают крайне редко..
—
Dr_Alex
(10.11.2006 15:04
213.33.211.66
,
пустое
)
Дык...осознал...;О)
—
-mse-
(10.11.2006 15:04
62.183.50.164
,
пустое
)
Ответ:
—
SAZH
(10.11.2006 14:37
212.113.112.201
, 143 байт)
Кое-что есть, но.. э.. зато ещё на этапе синтеза я отлавливаю кучу глюков-описок, которые в случае верилога отловились бы только при симуляции, если не в готовом устройстве..
—
Dr_Alex
(10.11.2006 14:43
213.33.211.66
,
пустое
)
Согласен, по этой причине перешел на VHDL. Да и все как-то нагляднее и строже.
—
dmv
(10.11.2006 17:55
217.23.67.58
,
пустое
)
Ответ:
—
SAZH
(10.11.2006 14:56
212.113.112.201
, 445 байт)
:)))) VHDL тут не при чём, все вопросы к своему синтезатору.
—
Dr_Alex
(10.11.2006 14:58
213.33.211.66
,
пустое
)
Ответ:
—
SAZH
(10.11.2006 15:05
212.113.112.201
, 199 байт)
Ответ:
—
SAZH
(10.11.2006 15:02
212.113.112.201
, 98 байт)
Тогда я вообще не понимаю о чём речь. Он может считать хоть в коде грея или как угодно, главное чтоб результат арифметическиих операций был правильный. И явное преобразование в битовый вид обязательно даст ожидаемый вами результат.
—
Dr_Alex
(10.11.2006 15:07
213.33.211.66
,
пустое
)
Ответ:
—
SAZH
(10.11.2006 15:20
212.113.112.201
, 210 байт)
Нагреться нельзя, надо понимать что тип integer это тип integer, и его представление скрыто от вас.
—
Dr_Alex
(10.11.2006 15:25
213.33.211.66
,
пустое
)
Ответ:
—
SAZH
(10.11.2006 15:30
212.113.112.201
, 89 байт)
Ответ: Verilog forever!!! :)
—
axalay
(10.11.2006 16:13
212.5.127.53
,
пустое
)
Ответ:
—
dmv
(10.11.2006 13:48
217.23.67.58
, 263 байт)
cntr сделать просто reg синтезатор не разрешил. integer reg, в смысле?
—
-mse-
(10.11.2006 14:25
62.183.50.164
, 142 байт)
вопрос сложный, можно канешно сказать - так нельзя и RTFM, но попробую объяснить
—
yes
(10.11.2006 13:48
87.236.81.130
, 847 байт)
Ну это я уже СЕЙЧАС начал осознавать осознанно. ;О) Т.е. надо внутреннюю логику топового модуля ввести на инициализацию модуля низкого уровня соответствующим шнурком.
—
-mse-
(10.11.2006 14:38
62.183.50.164
, 437 байт)
Ответ: это только для синтезатора
—
savram
(10.11.2006 16:42
83.242.132.238
, 147 байт)
ясно, пасибо.
—
-mse-
(10.11.2006 17:03
62.183.50.164
,
пустое
)
М-м-м...имел в виду, что один stb_flag меняется по разным событиям и разной логике с этими событиями, связанной. Казалось, что синтезёр ругается именно на это.
—
-mse-
(10.11.2006 17:07
62.183.50.164
,
пустое
)
ну а как Вы себе представляете результат синтеза такой конструкции? триггер с двумя тактовыми входами??
—
yes
(10.11.2006 19:07
87.236.81.130
,
пустое
)
Нет. RS Триггерок с...
—
-mse-
(11.11.2006 09:52
62.183.50.164
, 192 байт)
чего там описывать?
—
yes
(11.11.2006 12:44
91.76.15.217
, 92 байт)
Ну прально. Это, получается другой модуль...;О)
—
-mse-
(11.11.2006 13:27
62.183.50.164
, 144 байт)
Ну, типа RS триггер... :)))
—
P_Andrey
(10.11.2006 19:41
212.9.251.178
,
пустое
)
если я понял, то нужно привязать stb к тактовому clk
—
yes
(10.11.2006 19:16
87.236.81.130
, 150 байт)
тоесть для симулятора
—
savram
(10.11.2006 16:43
83.242.132.238
,
пустое
)
Не могу справиться с озу - сижу на цкилоне первом и Quartus 5.0
—
Blackbird
(10.11.2006 12:24
212.17.10.183
, 1148 байт)
Ответ: Нада сделать двухкадровую память. Либо писать после чтения (не перескакивая по адресам). У Вас же скорее всего запись в память происходит по всему диапазону адреса - поэтому получается что что то пишется в старый кадр, а что то в новый
—
axalay
(10.11.2006 14:11
212.5.127.53
,
пустое
)
Озу в циклоне?
—
dmv
(10.11.2006 13:26
217.23.67.58
,
пустое
)
Да и пзу и озу в циклоне - блин было бы наруже проблем бы не было но снаружи не поставишь девай уже давно готовый и это нужно для теста а насчет двухкадровости
—
Blackbird
(10.11.2006 17:03
85.31.115.254
, 280 байт)
Ответ: Плисовая схемотехника делается вами самими-а вот через какое место это делается - ваш выбор :)
—
axalay
(13.11.2006 09:35
212.5.127.53
,
пустое
)
Хм все не так просто - аналогичная схема спаянная из дискретной логики работала бы себе и работала
—
Blackbird
(13.11.2006 18:52
85.31.115.254
, 778 байт)
Ответ: Ну не поднимем флуд- :) тока знай что в плисе все работает как ты укажешь .
—
axalay
(14.11.2006 10:05
212.5.127.53
, 237 байт)
Блин я схему рисую я не *ХДЛем балуюсь ибо схема мне ближе :)
—
Blackbird
(15.11.2006 05:39
212.17.10.183
,
пустое
)
Ответ:
—
dmv
(10.11.2006 18:30
217.23.67.58
, 123 байт)
Попорбую однако хоть оно как то уж очень сложно да и как дублировать их содержимое ?
—
Blackbird
(12.11.2006 09:22
83.149.51.1
,
пустое
)
Есть ли смысл переключать сообщаемый хосту вид питания USB-устройства: Bus-powered или Self-powered
—
Vasilij_
(09.11.2006 19:40
213.148.165.94
, 450 байт)
Если подключаться напрямую без хабов то NoteBook должен отдать 500мА(-)
—
misyachniy
(10.11.2006 14:41
83.218.237.86
,
пустое
)
Ответ: Отдать то должен, но по стандарту USB2.0 ...
—
Apast
(10.11.2006 16:35
80.66.68.158
, 356 байт)
Ответ: Насчет ступенчатого включения это понятно. Ну а USB-хабы вещь, как я понимаю, не сильно распространенная
—
Vasilij_
(10.11.2006 17:53
213.148.165.94
,
пустое
)
Господа щщастливые обладатели много_анальных канализаторов 16800 или 16900, никто часом не научился делать лицензию для увеличения памяти?
—
Dr_Alex
(09.11.2006 16:56
213.33.211.66
,
пустое
)
Нужно лекарство для Quartus II 5.1 чтоб поддерживал Signal Tap
—
mag
(09.11.2006 12:12
213.24.18.49
, 156 байт)
Ответ: возьмите
—
VG
(09.11.2006 17:28
213.148.165.94
,
пустое
)
Ответ:
—
mag
(10.11.2006 11:38
213.24.18.49
, 38 байт)
Ответ: Вообщем нужен кряк чтоб сделать FULL LICENSE.
—
mag
(09.11.2006 14:16
213.24.18.49
,
пустое
)
Ребята, Помогите с задержками разобраться (+)
—
&Rez
(09.11.2006 09:17
82.135.200.237
, 192 байт)
Для моделирования, да. В железе нет.
—
zlyh
(09.11.2006 09:29
194.186.73.110
,
пустое
)
Как для железа задержки сделать?
—
&Rez
(09.11.2006 09:54
82.135.200.237
,
пустое
)
Только счетчиком
—
dmv
(09.11.2006 14:06
217.23.67.58
,
пустое
)
счётчиком клоков или руками размещать разводить.
—
zlyh
(09.11.2006 17:15
194.186.73.110
,
пустое
)
Использовать DCM, mode 'variable phase shift' -сдвиг на дискреты фазы периода.
—
Алга
(09.11.2006 18:39
194.50.111.111
,
пустое
)
Вышел Квартус II 6.1 и Stratix III; В квартусе обещана поддержка 64 bit под win и поддержка многоядерности.
—
ip657
(08.11.2006 18:05
82.179.129.52
,
пустое
)
Прошу прощения, доступен будет только с 4-го декабря.
—
ip657
(08.11.2006 19:32
82.179.129.52
,
пустое
,
ссылка
)
Ссылка, конечно, охрененная, мягко говоря :-) (+)
—
LORD
(09.11.2006 04:38
80.92.96.9
, 205 байт,
ссылка
)
Так PCIe давно на борту есть...
—
SM
(09.11.2006 14:07
85.21.237.237
,
пустое
,
ссылка
)
Насколько я понял, они заявляют "поддержку" PCIe, но кремниевого PCIe контроллера там нет
—
Victor®
(09.11.2006 17:58
195.46.37.133
,
пустое
)
Там есть трансиверы, а само ядро синтезируемое в виде мегафункции.
—
SM
(09.11.2006 18:34
85.21.237.237
,
пустое
)
А вот в V5 есть...
—
Victor®
(09.11.2006 18:00
195.46.37.133
,
пустое
,
ссылка
)
Дорогие они, заразы...
—
vmp
(09.11.2006 14:34
87.245.155.194
,
пустое
)
Не факт (+)
—
iBuilder
(09.11.2006 13:34
81.25.47.235
, 257 байт,
ссылка
)
Проблема с конфигурированием ACEX 1K при помощи Quartus + ByteBlaster
—
bober_stv
(08.11.2006 13:28
80.250.160.158
, 372 байт)
Если Q6.0 + SP1 обязательно скачать с сайта Альтеры и утановить патч 1.18
—
Andy-P
(08.11.2006 14:57
83.218.236.246
,
пустое
)
Господа, а кто-нибудь вообще применяет у нас метод "Spread Spectrum" (+)
—
Mebius
(08.11.2006 11:33
195.28.47.34
, 161 байт)
ну может мы применяем (сами не зная того :-) - то есть к ПЛИСине подключено несколько
—
yes
(09.11.2006 14:35
87.236.81.130
, 311 байт)
Да у нас на СЕ никто не сертифицируется, видать :-) (+)
—
LORD
(09.11.2006 04:32
80.92.96.9
, 219 байт)
Ответ:
—
Mebius
(09.11.2006 11:31
195.28.47.34
, 521 байт)
Что выгоднее, много проводов на низкой частоте или мало на высокой в тех же пропорциях ?
—
Shtirlits
(08.11.2006 00:53
83.149.205.223
, 770 байт)
Ответ:
—
dmv
(09.11.2006 14:15
217.23.67.58
, 199 байт)
ПЛИс альтера
—
Alexander
(07.11.2006 20:29
80.250.191.60
, 117 байт)
Ответ: от радиации
—
VG
(09.11.2006 17:33
213.148.165.94
,
пустое
)
Вы не рядом с аэродромом работаете? (+)
—
LORD
(09.11.2006 04:45
80.92.96.9
, 420 байт)
Люди, подскажите плиз, чем PCB файлик просмотреть от платы ML403? (а то чем только не пробывали открывать :(
—
maphin
(07.11.2006 18:01
62.76.192.3
,
пустое
,
ссылка
)
есть предположение, что ментор-графикский pads
—
yes
(07.11.2006 19:16
87.236.81.130
,
пустое
,
ссылка
)
УРА! Действительно PADS открыл схему. Спасибо.
—
maphin
(08.11.2006 16:59
62.76.192.3
,
пустое
)
странно - я попробовал ваш архив не открывает - может архив не того ?
—
_net
(08.11.2006 20:30
91.76.48.221
,
пустое
)
это не оно
—
_net
(07.11.2006 22:27
91.76.51.13
,
пустое
)
А что тогда "оно"?
—
maphin
(08.11.2006 11:13
62.76.192.3
,
пустое
)
Подскажите, пожалуйста, где найти рабочие, с лечением, P-CAD и Спектра,? Чтонить из (пред-)последних версий. (-)
—
demiurg
(07.11.2006 13:17
195.5.57.29
,
пустое
)
Dongbu Electronics требуются:
—
Sergey
(06.11.2006 10:48
210.126.46.122
, 1108 байт,
ссылка
,
картинка
)
Нужна спецификация на MPEG-2/MPEG-4
—
arier
(04.11.2006 14:37
193.201.206.59
,
пустое
)
Ответ: Нашел пару цветных документиков в стиле журнала Мурзилка - для начала не плохо, но для практики
-
1111
(11.08.2008 16:04:15
78.36.2.220
, 85 байт)
MPEG2 (он же H.262) =>
—
quark
(04.11.2006 15:28
62.140.241.223
,
пустое
,
ссылка
)
Ответ: Нашел пару цветных документиков в стиле журнала Мурзилка - для начала не плохо, но для практики
—
aryer
(08.11.2006 14:03
193.201.206.59
,
пустое
)
но для практикинужна рИальная спецификация, а там буржуи только за франки чавой-то продают. Это ж не колбасу купить в соседнем гастрономе.
—
aryer
(08.11.2006 14:08
193.201.206.59
,
пустое
)
Читайте внимательнее.. Три документа можно скачать бесплатно, нужно только зарегистрироваться.
—
quark
(08.11.2006 14:31
62.140.241.223
,
пустое
)
Ответ: Спасибо Вам астрономическое, господин quark
—
arier
(15.11.2006 14:04
193.201.206.59
,
пустое
)
Кто работал с FPSLIC (STK594), помогите, плз.
—
kybikrybik
(03.11.2006 20:10
83.167.112.13
, 704 байт,
ссылка
)
Попробую помочь
—
Shtirlits
(05.11.2006 17:35
83.149.205.223
, 4222 байт)
Спасибо
—
kybikrybik
(06.11.2006 14:14
83.167.112.13
, 2765 байт)
Вроде все верно, но проверьте clock
—
Shtirlits
(06.11.2006 17:21
83.149.205.223
, 920 байт,
ссылка
)
Спасибо за помощь! Получилось!
—
kybikrybik
(07.11.2006 18:18
83.167.112.13
, 1178 байт)
Небольшая статья по quartusII - использование в командной строке.
—
Pavel Kurochkin
(03.11.2006 13:33
195.131.127.23
, 291 байт)
За статью спасибо - как раз сейчас на квартус думаю переходиnь :-) + OFF->
—
Анатолий Шарапов
(06.11.2006 08:09
80.92.96.9
, 153 байт)
спасибо, что напомнили... исправил
—
Pavel Kurochkin
(07.11.2006 16:16
195.131.127.23
, 110 байт)
Ваше дело правое! (+)
—
LORD
(09.11.2006 04:58
80.92.96.9
, 274 байт,
ссылка
)
А почему make, а не TCL? Личное предпочтение?
—
Victor®
(03.11.2006 17:48
195.46.37.133
,
пустое
)
Ответ: А причем тут TCL?
—
Artem-1.6E-19
(03.11.2006 19:43
85.90.203.24
, 89 байт)
Ответ: эхх... лучше поздно, чем никогда ;) (-)
—
Pavel Kurochkin
(07.11.2006 14:21
195.131.127.23
, 4 байт)
Spartan-3 залить по JTAG`у. как?
—
Mahagam
(03.11.2006 11:08
212.98.162.218
, 313 байт)
виртекс-2
—
zlyh
(03.11.2006 14:02
194.186.73.110
,
пустое
)
дык не работает так как надо.
—
Mahagam
(03.11.2006 15:03
212.98.162.218
,
пустое
)
Ответ: припаяйте емкость на TCK (200-500 пФ)
—
Al Jumper
(05.11.2006 11:35
82.148.20.6
,
пустое
)
зачем?
—
Mahagam
(06.11.2006 09:36
217.21.36.5
, 219 байт)
Ответ: Тогда и вправду это ни к чему. Я думал заливаете из компа чере parallel cable. Тогда звон на TCK может здорово мешать.
—
Al Jumper
(06.11.2006 13:41
82.148.20.6
,
пустое
)
Кто силен в xilinx-овских constraint-ах ?
—
Shtirlits
(03.11.2006 11:02
83.149.205.223
, 780 байт)
Если логика повторяется (скажем сигналы большой разрядности)...
—
Yuris_
(03.11.2006 16:23
217.67.177.50
, 372 байт)
Спасибо. Разрядность чуть меньше уровня написания программки :)
—
Shtirlits
(03.11.2006 20:14
83.149.205.223
,
пустое
)
Через P&R.
—
V61
(03.11.2006 15:29
195.245.194.142
, 258 байт)
Спасибо (+)
—
Shtirlits
(03.11.2006 20:17
83.149.205.223
, 300 байт)
Не знаю задачи, но нечто подобное было.
—
V61
(06.11.2006 12:51
195.245.194.142
, 137 байт)
Ничего не понял :(
—
Shtirlits
(06.11.2006 17:28
83.149.205.223
, 484 байт)
FROM TO возможно совместно с TPSYNC. (ucf - то под каждый корпус пишешь? ну и RLOC заодно)
—
zlyh
(03.11.2006 14:07
194.186.73.110
,
пустое
)
Пишу под каждый корпус (+)
—
Shtirlits
(03.11.2006 20:13
83.149.205.223
, 448 байт)
Советую забыть про физические констрейны. Они-то для того и придуманы чтоб было "ну очень долго". Пусть PR сам парится, лучше сделать трудно.
—
Dr_Alex
(03.11.2006 11:18
213.33.211.66
,
пустое
)
Ответ: А вот здесь не согласен...
—
Yuris_
(03.11.2006 16:05
217.67.177.50
, 492 байт)
Да я и не спорю что это возможно, но у меня не получалось никогда. Так что начинать с этого точно не нужно. Что касается "всех последующих изменений", так ведь после первого раза можно попробовать забэканнотэйтить размещение..
—
Dr_Alex
(03.11.2006 16:15
213.33.211.66
,
пустое
)
Скачал с altera.com modelsim Q6.0 WE. Не ставится..
—
Валерий_П
(03.11.2006 07:08
213.24.188.33
, 181 байт)
Новое сообщение
|
Главная страница
|
Раздел "Электроника"
|
Карта сайта
|||
FAQ по конференции (на общественных началах)