[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
миниатюрный аудио-видеорекордер mAVR

Отправлено Alecsandro 12 июля 2002 г. 11:50
В ответ на: Ответ: отправлено Willie 12 июля 2002 г. 11:29

Разведётся он в синхронный счётчик. По умолчанию. А кто может сходу на 2И-НЕ схемотехнически склепать параллельный перенос? Мне вот слабо, надо сперва освежить в памяти основы. А если нужен последовательный счётчик, то надо уже указыать подробнее:

if(count_en)
  counter[0]<=~counter[0];
if(count_en&counter[0])
  counter[1]<=~counter[1];
и т.д.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru