Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Программируемые логические схемы и их применение»

Вот это поправь и всё отсинтезится (+).

Отправлено тот самый 18 апреля 2007 г. 15:47
В ответ на: Да пожалуйста но все ОК мож ещо чего полезного люди умные скажут. отправлено PeterD 18 апреля 2007 г. 14:58

Can't mix blocking and non-blocking assignments to a variable

Надо так:

always @(posedge clk133) begin //oaoe?iaaiea iiaoey
in_clk<={in_clk[0],clk_data};
out_clk<=(in_clk==2'b01);
if (gl_reset_n==0) begin
data_adrr_received<=0;
Counter<=0;
end
else if (cs_loader_n==0) begin
case (out_clk)
1'b1: begin
data_adrr_received[Counter]<=serial_data;
Counter<=Counter+1;
end
1'b0: begin
if (Counter==26) begin
mem_storeg[data_adrr_received[5:0]]<=data_adrr_received[21:6];
Counter<=0;
end
end
endcase
end
else begin
data_loader[15:0]<=mem_storeg[request_data[5:0]];
end
end

Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
поделите двойку на единицу:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru