Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Программируемые логические схемы и их применение»

Вот даже 29 (+)

Отправлено SM 13 августа 2007 г. 14:55
В ответ на: спасибо, буду разбираться отправлено <font color=gray>beginer</font> 13 августа 2007 г. 14:46


module leds (clock, nReset, in, out);


input clock, nReset;
input [3:0] in;
output reg [23:0] out;


reg [4:0] cnt;


always @(posedge clock or negedge nReset)
if (!nReset)
cnt <= 5'd0;
else if ((in[0] | in[1]) ? (cnt == 5'd23) : (cnt[4:3]==2'b10))
cnt <= 5'd0;
else
cnt <= cnt + ((in[0] | in[1]) ? 5'b00001 : 5'b01000);


always @(posedge clock)
begin
if (in[0]) out <= 24'h000001 << cnt;
if (in[1]) out <= 24'h800000 >> cnt;
if (in[2]) out <= 24'h0000FF << {cnt[4:3], 3'h0 };
if (in[3]) out <= 24'hFF0000 >> {cnt[4:3], 3'h0 };
end


endmodule



Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
введите число 234:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru