[an error occurred while processing this directive]
Ответ: Кстати, в примере от Synopsys тоже используется оператор *. Сам пример внутри
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive]

Отправлено Victor 08 ноября 2000 г. 15:28
В ответ на: Ответ: Внутри отправлено Victor 08 ноября 2000 г. 15:14


-- Synopsys, Inc., FPGA
--
-- File name : mult_hdl.vhd
-- Descriprion: HDL inference example (Multiplier)
--------------------------------------------------------------

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity mult is
port(
a, b : in std_logic_vector(7 downto 0);
prod : out std_logic_vector(15 downto 0)
);
end mult;

architecture behav of mult is
begin -- behav
prod <= a*b;
end behav;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru