[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive]

Отправлено Yaroslav 30 ноября 2000 г. 01:24
В ответ на: Интересно было бы узнать... отправлено Игорь 29 ноября 2000 г. 19:30

Да все работает О.К., но не все!!!!!
работает только shcematic, а такие прелести как VHDL editor нет, говорит, что нет лицензии Synopsys!!!

Ярослав.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru