[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive]

Отправлено Arny 11 апреля 2001 г. 23:38
В ответ на: Интересные результаты получились: Synplicity SynplifyPro vs. Synopsis FPGA Express vs. Exemplar Logic LeonardoSpectrum vs. Altera MAX+PLUS II VHDL (+) отправлено Victor 07 ноября 2000 г. 13:36

Посоветуйте пожалуйста где можно найти статьи по общим принципам VHDL синтеза. Заранее спасибо.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru