Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Программируемые логические схемы и их применение»

прошу помощи

Отправлено Nestorovich 01 ноября 2007 г. 20:31


Решил занятся изучением VHDL и Quartus(до этого разные мелкие поделки делал в MAX PLUS в графическом редакторе)
Хочу сделать регистр в который по сигналу записи WR записываются данные, а затем по системному происходит их обработка
[code]
TX_BUF_LABEL:process (CLK,WR)
begin
if rising_edge(WR)then
TX_EMPTY_SS <= '1';
TX_BUF(7 downto 0) <= TX_DATA(7 downto 0);
elsif tx_data_load = '1' then
TX_BUF(7 downto 0) <= (others => '0');
TX_EMPTY_SS <= '0';
else NULL;
end if;
end process;


TX_EMPTY_LABEL:process (CLK)
begin
if rising_edge(CLK)then
if TX_EMPTY_SS = '1' then
TX_EMPTY<='0';
else
TX_EMPTY<='1';
end if;
else NULL;
end if;
end process;
[/code]
как только я где-то пытаюсь воспользоваться сигналом TX_EMPTY_SS при компиляции выскакивает ошибка
Error (10818): Netlist error at test.vhd(44): can't infer register for TX_EMPTY_SS because it does not hold its value outside the clock edge
Сильно не пинайте, но что делать подскажите



Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
отымите от 2 единицу:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru