Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Программируемые логические схемы и их применение»

Ответ:

Отправлено myltnonyy 02 ноября 2007 г. 10:20
В ответ на: прошу помощи отправлено Nestorovich 01 ноября 2007 г. 20:31

А зачем clk в списке чувствительности первого процесса?
ibrary ieee;
use ieee.std_logic_1164.all;
entity aaa is
port(
wr,clk,tx_data_load:in std_logic;
tx_data:in std_logic_vector (7 downto 0);
tx_buf:out std_logic_vector(7 downto 0);
tx_empty:out std_logic
);
end entity aaa;
architecture t_aaa of aaa is
signal tx_empty_ss:std_logic;

begin

TX_BUF_LABEL:process (tx_data_load,WR)
begin
--если Вы хотите использовать tx_data_load в качестве асинхронного сброса.
--В триггерах вход асинхронного сброса имеет приоритет над входом синхронизации
--в Вашем варианте наоборот
if tx_data_load = '1' then
TX_BUF(7 downto 0) <= "00000000";
TX_EMPTY_SS <= '0';
elsif rising_edge(WR)then
TX_EMPTY_SS <= '1';
TX_BUF(7 downto 0) <= TX_DATA(7 downto 0);
else NULL;
end if;
end process;


TX_EMPTY_LABEL:process (CLK)
begin
if rising_edge(CLK)then
if TX_EMPTY_SS = '1' then
TX_EMPTY<='0';
else
TX_EMPTY<='1';
end if;
else NULL;
end if;
end process;
end t_aaa;



Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
что получится, если сложить 4 и 4 ?

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru