Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Программируемые логические схемы и их применение»

Как правильно констрейнить

Отправлено yurich 15 ноября 2007 г. 13:41


Альтера, Квартус.
Имею конструкцию:

always @(posedge SYSCLK)
if (SyncCnt <= 0)
syncmax <= (FT + x)/SYNCPRT;
else syncmax <= syncmax;

x и SYNCPRT -переменные, FT - параметр. SYNCPRT - из другого clock domain, set_clock_group -exclusive прописан.

Мне неважно, сколько времени вычисляется эта штука (FT + x)/SYNCPRT.
Как я понимаю, чтобы сказать об этом Квартусу, надо прописать set_multicycle_path от "х" до syncmax.

Пишу:
set_multicycle_path -setup -start -from [get_registers {gencore:inst3|IMITATOR:inst|tg_core_v1:inst|x[0]}] -to [get_registers {gencore:inst3|IMITATOR:inst|tg_core_v1:inst|syncmax[0]}] 18

и так для каждого разряда.

И нихрена не помогает. Все равно Таймквест ругается на это сложение-деление. Причем именно на задержку от x до syncmax.

Что я не так пишу? Добавление -through не помогает.



Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
увеличьте 3 в два раза:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru