Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Программируемые логические схемы и их применение»

Ответ:

Отправлено SAZH 20 февраля 2008 г. 13:38
В ответ на: Ну смотря как эта енабля реализуется в железе. Если, например,реализуется как анд-ор-гатед цлоцк на триггерок какой, то гличи на енабле могут насерить нипадецки. А мне кажется, что там, в конце концов, именно так. В этом смысле гораздо правильнее(ИМХО) кормить триггерки чистым, незамутнённым цлоцком. Пусть даже малость сдвинутым от мастерклока скалером. отправлено -mse- 20 февраля 2008 г. 13:15

enable всегда на логике реализуется. Все равно как, лишь бы устаканивание в период глобального клока укладывалось.
И предназначен только для триггеров со входом enable.
Для входа clk естественно он не годиться. Конечно можно его через триггер пропустить. Но кому нужна задержка на такт.
Те кусочки, что Вы привели рабочие и имеют право быть.
Скорее всего дело во всей схеме.
Но что не вызывает сомнение: работа в одной версии и глюки в другой
это дефект Вашего проекта.


Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
введите число 63:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru