Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Программируемые логические схемы и их применение»

(+)

Отправлено cdg 27 марта 2008 г. 09:35
В ответ на: Need help. Mixed design in AtiveHDL behavioral simulation(+) отправлено Yuris_ 26 марта 2008 г. 17:16

Странно по идее все должно работать, а библиотеку подключили в параметрах проекта? Посмотрите что у Вас в библиотеке, вот исходник, по идее все должно работать

// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/versclibs/data/simprims/X_LUT3.v,v 1.5 2005/03/14 21:05:15 yanx Exp $
///////////////////////////////////////////////////////////////////////////////
// Copyright (c) 1995/2004 Xilinx, Inc.
// All Right Reserved.
///////////////////////////////////////////////////////////////////////////////
// ____ ____
// / /\/ /
// /___/ \ / Vendor : Xilinx
// \ \ \/ Version : 8.1i (I.13)
// \ \ Description : Xilinx Timing Simulation Library Component
// / / 3-input Look-Up-Table with General Output
// /___/ /\ Filename : X_LUT3.v
// \ \ / \ Timestamp : Thu Mar 25 16:43:56 PST 2004
// \___\/\___\
//
// Revision:
// 03/23/04 - Initial version.
// 03/11/05 - Add LOC paramter;
// End Revision

`timescale 1 ps/1 ps

module X_LUT3 (O, ADR0, ADR1, ADR2);

parameter INIT = 8'h00;
parameter LOC = "UNPLACED";

output O;
input ADR0, ADR1, ADR2;

wire out0, out1, a0, a1, a2;

buf b0 (a0, ADR0);
buf b1 (a1, ADR1);
buf b2 (a2, ADR2);

x_lut3_mux4 (out1, INIT[7], INIT[6], INIT[5], INIT[4], a1, a0);
x_lut3_mux4 (out0, INIT[3], INIT[2], INIT[1], INIT[0], a1, a0);
x_lut3_mux4 (O, 1'b0, 1'b0, out1, out0, 1'b0, a2);

specify

(ADR0 => O) = (0:0:0, 0:0:0);
(ADR1 => O) = (0:0:0, 0:0:0);
(ADR2 => O) = (0:0:0, 0:0:0);
specparam PATHPULSE$ = 0;

endspecify

endmodule

primitive x_lut3_mux4 (o, d3, d2, d1, d0, s1, s0);

output o;
input d3, d2, d1, d0;
input s1, s0;

table

// d3 d2 d1 d0 s1 s0 : o;

? ? ? 1 0 0 : 1;
? ? ? 0 0 0 : 0;
? ? 1 ? 0 1 : 1;
? ? 0 ? 0 1 : 0;
? 1 ? ? 1 0 : 1;
? 0 ? ? 1 0 : 0;
1 ? ? ? 1 1 : 1;
0 ? ? ? 1 1 : 0;

? ? 0 0 0 x : 0;
? ? 1 1 0 x : 1;
0 0 ? ? 1 x : 0;
1 1 ? ? 1 x : 1;

? 0 ? 0 x 0 : 0;
? 1 ? 1 x 0 : 1;
0 ? 0 ? x 1 : 0;
1 ? 1 ? x 1 : 1;

0 0 0 0 x x : 0;
1 1 1 1 x x : 1;

endtable

endprimitive


Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
введите число 34:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru