Разработка, производство и продажа радиоэлектронной аппаратуры
|
Требуется программист в Зеленограде - обработка данных с датчиков; ColdFire; 40 тыс.
e-mail: jobsmp@pochta.ru
|
SDC констрейны и TimeQuest
Отправлено
Andy-P 27 марта 2008 г. 11:45
Ниже приведены констрейны, описывающие входной тактовый сигнал adcstb
и входную шину данных adc_data[*]
Для adcstb задана латентность источника 1.3нс
Опция -source_latency_included, по описанию, должна исключать из расчета входной задержки латентность такта adcstb.
На практике получаю оно и тоже время clock delay и соответственно slack, как в случае исключения опции -source_latency_included, так и при наличии оной.
Либо я неверно понимаю роль этой опции, либо …
create_clock -name adcstb -period 6.944 [get_ports adcstb]
set_clock_latency -source 1.300 [get_ports adcstb]
set_input_delay -clock adcstb -source_latency_included -min 3.000 [get_ports adc_data[*]]
set_input_delay -clock adcstb -source_latency_included -max [expr 6.944 + 2.000] [get_ports adc_data[*]]
Составить ответ | Вернуться на конференцию
Ответы
- ИМХО set_clock_latency надо делать не порту, а клоку (+) — SM (27.03.2008 12:23:55 80.92.255.53, 86 байт)
- ДА! Без никаких ИМХО (+) — Andy-P (27.03.2008 13:46:9 83.218.236.246, 1490 байт)
- Да без разницы, какой элемент меняет source_latency_included, главное что он свою функцию выполняет (+) — SM (27.03.2008 14:23:7 80.92.255.53, 308 байт)
- Согласен, «надо привыкнуть и пользоваться» :) (+) — Andy-P (27.03.2008 15:22:44 83.218.236.246, 873 байт)
- А это точно SPI? — Oldring (27.03.2008 18:50:8 91.77.101.171, 449 байт)
- (+) — SM (27.03.2008 16:09:37 80.92.255.53, 494 байт)
- Уважаемые, SM и Oldring (+) — Andy-P (28.03.2008 16:46:16 83.218.236.246, 3078 байт)
- Ответ: (+) — SM (30.03.2008 14:14:18 80.92.255.53, 411 байт)
- Ответ: (+) — Andy-P (31.03.2008 13:23:27 83.218.236.246, 2060 байт)
- Ответ: (+) — SM (31.03.2008 22:37:13 80.92.255.53, 459 байт)
- Ответ: (+) — Andy-P (01.04.2008 13:36:37 83.218.236.246, 1581 байт)
- Сори, ошибся: ... при [get_clocks adcstb], вместо [get_ports adcstb], ... — Andy-P (27.03.2008 13:51:45 83.218.236.246, пустое)