Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Программируемые логические схемы и их применение»

ДА! Без никаких ИМХО (+)

Отправлено Andy-P 27 марта 2008 г. 13:46
В ответ на: ИМХО set_clock_latency надо делать не порту, а клоку (+) отправлено SM 27 марта 2008 г. 12:23

Действительно, при [get_clocks adcstb], вместо [get_clocks adcstb], наличие и отсутствие опции –source_latency_included дают разницу аккурат в 1.3нс

Я начинающий в SDC, поэтому еще не понимаю многих тонкостей.
Предполагал, что задавая латентность источника (т.е. внешнюю задержку такта от места его происхождения до порта) уместно для target в констрейне указать именно порт.

Кстати о тонкостях, использованием опции –source_latency_included я хотел задавать Data Required Path: при установленной опции, элемент source latency (в Report Timing) ожидал увидеть нулевым; а при отсутствии опции, элемент source latency должен быть 1.300

На самом деле элемент source latency равен 1.300 всегда! Включение опции -source_latency_included меняет с 1.300 на 0 элемент clock network delay (почему network (?), если меняем source…) в Data Arrival Path

Не достигнув результата с опцией –source_latency_included, пытался добиться того же эффекта при помощи опции –reference_pin:

set_input_delay -clock adcstb -reference_pin [get_ports adcstb] -min 3.000 [get_ports adc_data[*]]
set_input_delay -clock adcstb -reference_pin [get_ports adcstb] -max [expr 6.944 + 2.000] [get_ports adc_data[*]]

После update_timing_netlist получаю предупреждение:

Warning: Reference pin adcstb is invalid. It is not clocked by the clock specified in set_input_delay/set_output_delay's -clock option.

Что здесь неправильно?


Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
введите число 654:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru