Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Программируемые логические схемы и их применение»

Помогите понять как работать с TimeQuest от Альтеры

Отправлено ex51 09 июня 2008 г. 10:03


Написал тестовый проектик небольшой. Плис max 2.
Вот часть кода:
module test
#(parameter N = 8) // Number of measurement channel
(
input clk,
input [N-1:0] izm,
input X19_1,
input X16_1,
input X4,
input [1:0] mode,
input [2:0] select,
output [2:0] ack,
input [2:0] request,
output [2:0] ready,
input [2:0] test_ch,
input [2:0] channel[3],
output [15:0] chan[3]

);
// Input signal buffer register
reg [2:0] select_r, ack_r, request_r, ready_r;
reg [1:0] mode_r;
reg [N-1:0] izm_r;
reg x19_1_r;

always @(posedge clk) begin
select_r <= select;
request_r <= request;
izm_r[N-2:0] <= izm[N-2:0];
izm_r[N-1] <= X4 ? izm[N-1]:X16_1; // "1" - open jamper
mode_r <= mode;
x19_1_r <= X19_1;
end
...

endmodule

То есть просто сделал регистровые входы для входных сигналов.

Написал такой sdc файл:
set_time_format -unit ns -decimal_places 3

create_clock -name {clk} -period 10.000 -waveform { 0.000 5.000 } [get_ports {clk}]

Запускаю, получаю сообщение:
Warning: Node: x19_1_r was determined to be a clock but was found without an associated clock assignment.
Warning: Node: izm_r[*] was determined to be a clock but was found without an associated clock assignment. Где вместо звёздочки цифры от 0 до 7 (8 сообщений)

Судя по сообщению квартус не понял с какой частотой работают эти регистры. Но ведь на них подана частота clk и она описана? И почему он не обругался на другие регистры, например select_r они ведь все описаны одинаково?


Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
введите число 93:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru