Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Программируемые логические схемы и их применение»

Еще раз попробую спросить по VHDL synthesis attributes:

Отправлено Igr_OK (195.95.210.222) 22 марта 2010, г. 11:18


Пытаюсь в VHDL-файле задать MULTICYCLE path для некоторых цепей, примерно так:

...
signal net1, net2: std_logic_vector(7 downto 0);

attribute altera_attribute : string;
attribute altera_attribute of net1 : signal is "-name MULTICYCLE 3 -from net2";

но на результат компиляции эта запись никак не влияет. В то же время путем задания констрейнов в TimeQueste всё работает.

Не пойму где ошибка. Или может где-то в Квартусе надо чёто включить. Другие атрибуты типа direct_enable или preserve synthesis работают.

Если вы - "Igr_OK", то можете присоединить файл к сообщению.

Имя*:    Пароль*: 
Максимальный размер файла: 65536 байт.
Разрешённые форматы: png gif pdf jpg jpeg zip rar

(* - обязательные поля)


Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
введите число 76:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru