[an error occurred while processing this directive]
Объем прошивки оценивается синтезатором. Чтобы уменьшить ...
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive]

Отправлено AlexP 26 января 2001 г. 18:13
В ответ на: Каким образом оптимизируется код в VHDL? отправлено ДM 26 января 2001 г. 16:56

1. нужно хорошо понимать и представлять внутреннюю структуру ПЛИС
2. использовать стандартные конструкции кода, для примера, синхронный счечик:
process (CLK, RESET)
begin
if RESET = '1' then
COUNT_INT <= (others => '0');
elsif CLK'event and CLK='1' then
-- elsif rising_edge(CLK) then
if LOAD = '1' then
COUNT_INT <= DIN;
else
if CE = '1' then
if DIR = '1' then --count up
COUNT_INT <= COUNT_INT + 1;
else --count down
COUNT_INT <= COUNT_INT - 1;
end if;
end if;
end if;
end if;
COUNT <= COUNT_INT;
end process;
3. использование библиотечных процедур и функций, которые учитывают структуру ПЛИС
4. и т.д.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru