[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Сергей С. 09 мая 2002 г. 12:31
В ответ на: Каким образом оптимизируется код в VHDL? отправлено ДM 26 января 2001 г. 16:56

Согласен с предыдущим ответом, особенно с п.1 - самый лучший способ оценивать объем и соответственно уменьшать его по мере возможности - четко представлять как все это выглядит внутри. Лучше всего изучить архитектру какой нибудь из серий ПЛИС, создать простенький проект и выяснить каким образом компилятор распределит это все по микросхеме. Но даже не зная конкретной архитектуры, можно производить оценку и уменьшение объема прошивки если представлять как выглядит ваш замысел СХЕМНО (оценку, например, можно производить по количеству ячеек памяти). По вашему последнему вопросу видно, что вы не совсем представляете, что программа для процессора и программа для создания логики различаются принципиально. И первое, что надо всегда помнить - что создается именно схема.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru