[an error occurred while processing this directive]
Это мы проходили. Сделай задержку записи 10, а лучше 15, и жизнь наладится, :-)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Андрей 17 декабря 2002 г. 12:04
В ответ на: Спасибо, нашел. Имею ?-fatal-i2c_device_timeout. Да-а-а. Озадачен. отправлено Victor Yurchenko 17 декабря 2002 г. 10:58


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru