[an error occurred while processing this directive]
При синтезе в Synplify Pro 7.2 выдается ошибка...
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Elresearch 18 декабря 2002 г. 14:28

primopt.c:419 Error: Aborting because of driver errors

Synplify подцеплен к Active HDL BUILD 5.2.1163.SP2.05 в режиме Batch
Входные данные - VHDL.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru