[an error occurred while processing this directive]
Для симуляции надо использовать generic вместо attribute с такими же именами.
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Ace-X 06 января 2003 г. 02:41
В ответ на: Как объяснить ModelSim'у что нужно инициализировать блочную память в XCV? отправлено kilgor 05 января 2003 г. 17:53

architecture BEHAVE of MYMEM is
signal logic0, logic1: std_logic;
component RAMB4_S8
generic( INIT_00, …,INIT_0f : BIT_VECTOR(255 downto 0)
:= X”00….00”);
port (WE, EN, RST, CLK: in STD_LOGIC;
ADDR: in STD_LOGIC_VECTOR(8 downto 0);
DI: in STD_LOGIC_VECTOR(7 downto 0);
DO: out STD_LOGIC_VECTOR(7 downto 0));
end component;
begin
logic0 <='0'; logic1 <='1';
ram0: RAMB4_S8
generic map (INIT_00 =>X”0123….CDEF”,
INIT_01 =>X”FEDC…3210”)
port map (WE=>WE, EN=>logic1,
RST=>logic0,
CLK=>CLK,ADDR=>ADDR,
DI=>DIN, DO=>DOUT);
end BEHAVE;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru