[an error occurred while processing this directive]
Ответ: (+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено SM 09 января 2003 г. 21:59
В ответ на: А можно посмотреть на видоизмененную LPM_ADD_SUB? Если это не коммерческая тайна конечно. отправлено Olxx 09 января 2003 г. 21:00

Если хочешь посмотреть исходник, то пожалуйста... Но завтра. Дома у меня этого нет. Да в общем могу абсолютно любую функцию сконвертить и прислать - только список параметров скажи.

Общий смысл такой - все параметры умирают, остается конкретно реализованный модуль (без параметров), соответствоваший заданным параметрам в AHDL. Сгенерился совершенно нормальный сумматор с использованием схемы ускоренного переноса.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru