[an error occurred while processing this directive]
Black box не подходит совсем. А по пунктам 2 и 3 можно по подробнее ?
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено bryk 30 января 2003 г. 18:34
В ответ на: Напрямую никак : отправлено sed 30 января 2003 г. 16:20

Особенно интересно как в Foundation можно сделать экспорт схемы в VHDL.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru