[an error occurred while processing this directive]
Вопрос:
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive]

Отправлено Vallav 11 апреля 2001 г. 21:46
В ответ на: Ответ: Это так написал...... отправлено Yuri Mendelev 11 апреля 2001 г. 16:44

А не могли бы Вы привести текстик правильного VHDL, компилирующийся
в 13 ячеек. На Altera HDL и рисунком не надо.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru