[an error occurred while processing this directive]
Да я знаю, но вопрос касался именно VHDL (насколько я понял). Если под Altera, то математику лучше LPM-ами делать, согласен.
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Victor® 17 июля 2003 г. 16:48
В ответ на: А попробуйте использовать LPM_MULT и прогнать через синтезатор MAX+PlusII - и вы еще больше удивитесь :-) отправлено Valeri 17 июля 2003 г. 16:33


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru