[an error occurred while processing this directive]
Делается так: (+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено andrew_b 21 июля 2003 г. 09:15
В ответ на: Ответ: данный файл вносился в проект modelsim, компилировался, но... отправлено jm 18 июля 2003 г. 12:42

Свой компонент обзываем как хотим - главное, чтобы интерфейсы совпадали.
Затем декларируем использование библиотеки Simprim и компонента из нее:

-- synopsys translate_off
-- not for synthesys, for simulation only!!!
library simprim;
use simprim.vcomponents.x_ramd16;
-- synopsys translate_on

Затем в разделе декларация архитектуры, но после декларации компонента делаем так:

-- synopsys translate_off
for all: ram16x1d_1 use
entity simprim.vcomponents.x_ramd16(x_ramd16_v);
-- synopsys translate_on

Вместо "all" можно поставить конкретную метку компонента.

Симуляционная модель этого компонента находится в файле simprim_VITAL.vhd, который тоже надо скомпилировать в библиотеку simprim.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru