[an error occurred while processing this directive]
Xilinx. Как открыть старый проект для Spartan в Webpack
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Ivanoff 29 июля 2003 г. 17:03

Собственно хочу взятьпроект, созданный в Xilinx Foundation F1.5, и переделать его на SpartanII.
Может кто даст умный совет, как его можно конвертировать или хотя бы открыть в новой облочке.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru