[an error occurred while processing this directive]
FIFO
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено ARPL 07 августа 2003 г. 17:43

Подскажите плз, как реализовать FIFO буфер в MAX + plus 2.
Возможно ли использовать примитив FIFO Quartusa в MAXе и , если да,
то каким образом.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru