[an error occurred while processing this directive] [an error occurred while processing this directive]
Попробуйте добавить
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Александр Гайворонский 01 июня 2001 г. 09:42
В ответ на: Ответ: не понял отправлено Student 31 мая 2001 г. 23:06

пару триггеров с асинхронным резетом и синхронным сетом

signal TRIG1 : STD_LOGIC;
signal TRIG2 : STD_LOGIC;

if RESET1 = '0' then
TRIG1 <= '0';
elseif clk'event and clock = '1' then
TRIG1 <= '1';
end if;

if RESET2 = '0' then
TRIG2 <= '0';
elseif clk'event and clock = '1' then
TRIG2 <= '1';
end if;

if clk'event and clock = '1' then
if TRIG1 = '0' THEN
state <= s0;
elsif TRIG2 = '0' THEN
state <= s1;
else
case .......
.....
end if;
end if;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru