[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Vallav 13 июня 2001 г. 22:15
В ответ на: Ответ: А как сделать такой счётчик на AHDL для MAX 3000A ? отправлено Student 13 июня 2001 г. 21:18

MAX 9.23 примеры на AHDL

SUBDESIGN count
(
clk, load, ena, clr, d[15..0] : INPUT;
q[15..0] : OUTPUT;
)
VARIABLE
count[15..0] : DFF;
BEGIN
count[].clk = clk;
count[].clrn = !clr;

IF load THEN
count[].d = d[];
ELSIF ena THEN
count[].d = count[].q + 1;
ELSE
count[].d = count[].q;
END IF;

q[] = count[];
END;

count.rpt

** DEVICE SUMMARY **

Chip/ Input Output Bidir Shareable
POF Device Pins Pins Pins LCs Expanders % Utilized

count EPM7064SLC84-5 20 16 0 16 0 25 %

User Pins: 20 16 0

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru