[an error occurred while processing this directive] [an error occurred while processing this directive]
Modelsim 5.5 .
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено AB 25 июня 2001 г. 14:03
В ответ на: ModelSim отправлено www 25 июня 2001 г. 11:32

есть у меня кряк с которым он работает хорошо.
Укажи свой email, я пришлю.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru