[an error occurred while processing this directive] [an error occurred while processing this directive]
Библиотеки Xilinx (+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Анатоль 25 июня 2001 г. 16:49

Где-нибудь есть описание элементов библиотек для WebPack? Столкнулся с тем, что некоторые элементы неработоспособны. Т.е. например одни счетчики работают, другие нет, D-триггеры не реагируют на сигнал сброса, а JK-триггеры реагируют. Где бы почитать как их пользовать?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru