[an error occurred while processing this directive]
Подскажите по Actel Axcelerator pls!
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено ilya79 16 ноября 2003 г. 11:27

1. Synplify упорно отказывается синтезировать RTL описание синхронной RAM во встроенную память(для Xilinx код проходит) и раскидывает ее на логику. Неужели единственный выход пользоваться ACTGen Macro Builder и black box ???
2. Возможен ли такой маршрут отработки проектов :
Синтез RTL кода в Synplify для Xilinx и отладка.
Синтез того-же кода в Synplify для Axcelerator (разумеется предварительное моделирование перед прошивкой!).
Я понимаю что будет очень не оптимально, но все же ???

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru