[an error occurred while processing this directive]
Проблемы с Synplify + Verilog
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Рыба 17 ноября 2003 г. 13:02

Странные вещи ...

Почему-то присвоения внутри конструкции TASK Synplify рассматривает как blocking, не смотря на то, что я чётко пишу <= . Чё за беда?
В квартусе тот же самый код нормально синтезируется, как и должно быть. Может нужно какие-нить директивы или атрибуты опытные добавить?
Подскажите пожалуйста, кто знает ...

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru