[an error occurred while processing this directive]
А я вообще ни на чем не пишу :-(
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Андрей Пр. 17 ноября 2003 г. 17:23
В ответ на: Ответ: Спасибо попробую посмотреть, я правда пишу на VHDL а Verilog практически не знаю... отправлено Apast 17 ноября 2003 г. 15:45

Поэтому и хотел, чтобы мне кто-нибудь красиво разделил шину порта две (на ввод и на вывод). Шину данных-то я разделил по аналогии...

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru