[an error occurred while processing this directive] [an error occurred while processing this directive]
С удовольствием :-)
(«Телесистемы»: Конференция «Микроконтроллеры и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено AK 08 сентября 2001 г. 03:31
В ответ на: Не соизволит ли многоуважаемый all помочь советом ? отправлено Вопрошающий 07 сентября 2001 г. 22:17

На мой взгляд, мысль совершенно здравая, т.к. для передачи надо всего 3 сигнальных провода, а скорость закачки годится низкая.

1. Помехи и согласование
------------------------
Самой простое и надежное - разогнать сигнал в линии до 9-12В. Все равно питание на модуль надо гнать повышенное (из-за падения напр. на проводах), и ставить локальные регуляторы 5В.
Для разгона годится обычный транзистор, т.е. выход ОК, подтягивающий резистор R1~1к и менее. Для защиты от кз последовательно с выходом - резюк R2~100 Ом.
Для приема - опять годится простейшая схема на 1 транзисторе. В базу-эмиттер нужно включить диод для защиты от перемены полярности, далее последов. с базой резистор R3~100к. Такой вход достаточно дубов для того чтобы без проблем выдержать попадание сетевого напряжения. ВЧ помешки неплохо придушить кондерчиком C1=100-470 пФ между базой и эмиттером.
Чтобы неподключенный вход не "висел в воздухе", между базой-эмиттером надо включить резюк R4~10к. Одновременно, R3 и R4 образуют делитель, так что пороговое напряжение на входе будет примерно 6В. Это сильно улучшает помехоустойчивость.
После транзистора желательно ставить ТШ, чтобы фронты были крутые.

2. Меньше проводов
------------------
Сигнал LOAD можно сделать из CLK, например, при помощи RC-цепочки и ТШ. Скажем, "по умолчанию" CLK=high, при этом LOAD=пассивн. Передача делается так чтобы все имульсы CLK=low были короткими (Tlow

Составить ответ  |||  Конференция  |||  Архив

Ответы



Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru