Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Микроконтроллеры и их применение»

Ответ: Большое спасибо, ув. _hard. Моя признательность за проделанную Вами работу!

Отправлено Станислав 15 декабря 2006 г. 02:09
В ответ на: Ваш изначальный код тоже работает, так что дело в чем-то другом, попробуйте другой экземпляр проца отправлено __hard 14 декабря 2006 г. 18:30

Ваша информация оч. ценна. Круг сужается. :)

Про 20нс как-то и в голову не пришло... Могли просто провтыкать!
К сожалению сегодня плату залили лаком, а сигнал идёт через оптопары (для того и требуется снижение скорости), и меряли уже на их выходе: поэтому такой Клок - если он, конечно, всё-таки есть - мы тем более не увидили бы. //Завтра будем расковыривать... :(

Кста, в 8-битном режиме длительность сигнала CLK вполне нормальная, форма близкая к меандру (до оптопары). Длительность импульса вполне пропорциональна значению в делителе. (Т. е. явно не 20нс.)

По ходу выяснили, что биты, определяющие направление портов, действительно не влияют на работу УАРТА. Проверяли, разумеется, в работающем 8-бит BRG режиме.

Sic! Но зато на выводе DATA вместо данных наблюдаем меандр, период которого вполне чётко зависит от значения, записываемого в делитель! (Свихнуться можно!) // Но от этого на CLK данные не появляются... А могли бы - для разнообразия! :)))

Оба варианта кода - и Ваш, ув. _hard, и наш - благополучно работают. (За невнимательность и дезу касательно отсутствия сигнала на обоих выводах (Дата и Клок) уже наругал некоторых товарисчей... Приношу Вам свои извинения за неумышленное искажение инфы.)

Чем закончится эта эпопея обязательно расскажу.

Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
введите число 93:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru