[an error occurred while processing this directive] [an error occurred while processing this directive]
Массив констант в Softune
(«Телесистемы»: Конференция «Микроконтроллеры и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Stepan 15 апреля 2002 г. 18:31

Как правильно задать в Softune массив констант?
Я пишу:
const unsigned char a[3]={1,2,3};
При инициализации он весь заполняется нулями (это в окошке LOCAL).
Что я делаю не так?

Составить ответ  |||  Конференция  |||  Архив

Ответы



Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru