И может тебя так устроит :)
(«Телесистемы»: Конференция «Микроконтроллеры и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено si 24 апреля 2004 г. 18:59
В ответ на: Мне... а что ты хочешь получить? а то я не понял назначение второго процесса. отправлено si 24 апреля 2004 г. 18:16

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

--=============================================================================

ENTITY test IS
GENERIC (WIDTH : integer :=16);
PORT (
DATA : IN std_logic_vector (15 downto 0);
RST : IN std_logic;
CLK : IN std_logic;
OE : IN std_logic;
Q : INOUT std_logic_vector(15 downto 0)
);
END test ;
--=============================================================================
ARCHITECTURE behv OF test IS
BEGIN
--=============================================================================
PROCESS(Clk,OE, rst)

BEGIN
if(rst = '0') then
Q <= "0000000000000000";
elsif (Clk'event and Clk = '1') then
if(OE = '1') then
Q <= DATA;
else
Q <= "ZZZZZZZZZZZZZZZZ";
end if;
end if;
END PROCESS;
--=============================================================================
END behv;

Составить ответ  |||  Конференция  |||  Архив

Ответы



Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru