[an error occurred while processing this directive]
Помогите !!! ISE: Verilog + BLACKBOX
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Bryk 15 октября 2002 г. 18:57

Есть .ngc файл. Насколько я понимаю это один из форматов black box (xilinx xst ?). Известны сигналы этого black box'а.
ВОПРОС каким образом заюзать этот blackbox в ISE?
---------------------------------------------------------------------

Вроде есть конструкция типа
module NAME_OF_BLACK_BOX(clk,a,b);
input clk;
input a;
output b;
endmodule
// synthesis attribute BOX_TYPE of NAME_OF_BLACK_BOX is "BLACK_BOX"

но не работает! XST просто перезаписывает .ngc файл :(


Бывший проект на VHDL этот .ngc файл юзает без проблем.



Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru