[an error occurred while processing this directive]
Помогите, запутался с TIME под MAXPLUS
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено МИФИст 20 октября 2002 г. 19:32

Вставляюю в свою программу вот такой кусок:
LIBRARY ieee;
USE ieee.std_logic_1164.all;
entity F3001 is
generic (temp: integer :=27; power: integer :=5; load: integer :=2);
port (X: in std_logic; Y: out std_logic);
end F3001;
ARCHITECTURE model OF F3001 IS
BEGIN
PROCESS (X)
VARIABLE T1, T2: TIME;
BEGIN
T1 := 1*(1+load)*(919+3*temp)*(9-power)/4000 * 1 ns;
T2 := 3*(1+load)*(919+3*temp)*(9-power)/8000 * 1 ns;
IF X='1' OR X='H' THEN Y <= '0' AFTER T1;
ELSIF X = '0' THEN Y <= '1' AFTER T2;
ELSE Y <= 'X' AFTER T1;
END IF;
END PROCESS;
END model;

потом вызываю его как component
компилятор ругается:
Error: Line 60: File standart.vhdl: Unsupported feature error: physical type is not supported, ругается только если есть TIME, а его обязательно нужно использовать.

И ещё как можно объединить приведённый кусок в библиотеку, если таких кусков штук 50?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru