[an error occurred while processing this directive]
Ради интереса попробовал просинтезировать в Synplify ...
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Pashka 26 ноября 2002 г. 16:13
В ответ на: при синтезе в Foundation 3.3 генерит ошибку (+) отправлено Elresearch 26 ноября 2002 г. 12:51

Все прошло нормально. Ошибок не выдал.
Вот результаты для переменной и сигнала.

==VARIABLE ==

Synplify:

Mapping to part: xc9536xlvq64-5
Simple gate primitives:
FDCE 5 uses
AND2 20 uses
OR2 9 uses
XOR2 3 uses

I/O primitives:
IBUF 6 uses
OBUF 5 uses

BUFG 1 use

Xilinx (fitting report):
Resource Summary

Macrocells Product Terms Registers Pins Function Block
Used Used Used Used Inputs Used
5 /36 ( 13%) 20 /180 ( 11%) 5 /36 ( 13%) 12 /36 ( 33%) 17 /108 ( 15%)

== SIGNAL ==

Synplify:

Mapping to part: xc9536xlvq64-5
Simple gate primitives:
FDCE 5 uses
AND2 16 uses
OR2 6 uses
XOR2 3 uses

I/O primitives:
IBUF 6 uses
OBUF 5 uses

BUFG 1 use


Xilinx (fitting report):
Resource Summary

Macrocells Product Terms Registers Pins Function Block
Used Used Used Used Inputs Used
5 /36 ( 13%) 16 /180 ( 8%) 5 /36 ( 13%) 12 /36 ( 33%) 17 /108 ( 15%)


Вообщем, с сигналом немного лучше.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru