[an error occurred while processing this directive]
На AHDL. Считает и в симуляторе и в железяке.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено SM 28 ноября 2002 г. 22:51
В ответ на: Как на VHDL сделать срабатывание счетчика по двум фронтам. отправлено Igor2 28 ноября 2002 г. 12:48


SUBDESIGN TST
(
CLK:INPUT;
OUT[7..0]:OUTPUT;
)
VARIABLE
CNTR[7..0]:DFF;
CLK_INT:NODE;
BEGIN
CNTR[]=CNTR[]+1;
CNTR[].CLK = CLK_INT;
OUT[]=CNTR[];
CLK_INT = GLOBAL(CLK XOR LCELL(LCELL(LCELL(LCELL(LCELL(LCELL(LCELL(CLK))))))));
END;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru