[an error occurred while processing this directive]
Вам это помогло? Да блин за жабры на воздух! !!!
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено A_K_B 28 марта 2003 г. 17:27
В ответ на: Как увидеть jitter Xilinx Virtex-E DLL-х выходов в Active-HDL и как задать jiItter фронта любого входного сигнала ( как это в Verilogger Pro ,к примеру) ? отправлено ZG 26 марта 2003 г. 15:09


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru